Przegląd Elektrotechniczny

Najstarsze czasopismo elektryków polskich. Ukazuje się od 1919 roku.

strona w języku polskim english page



Numer: 01/2010 Str. 212

Autorzy: Marek Węgrzyn :

Tytuł: Modelowanie sieci Petriego w języku VHDL

Streszczenie: Sieć Petriego dobrze nadaje się do modelowania współbieżnych układów cyfrowych, w szczególności do układów sterowania. W celu szybkiego prototypowania takich układów przygotowywane są odpowiadające im modele w językach opisu sprzętu. Opracowywane modele wykorzystywane są zarówno do celów symulacji, jak i syntezy. Implementacja odbywa się z wykorzystaniem programowalnych matryc bramkowych FPGA. Do aktualnie stosowanych języków HDL zalicza się VHDL i Verilog. W przeglądowym artykule przedstawiono sposoby modelowania sieci Petriego w języku VHDL.

Słowa kluczowe: Sterownik logiczny, sieci Petriego, modelowanie, synteza, VHDL, FPGA.

wstecz